SystemVerilogお勉強(4)

それぞれのコマンドがなにやってるか?

それは勉強会で調べよう。

xvlog -sv file.sv

xsim.dirを作って・・・、シミュレーション用の環境を作るわけですね。

xelab TOP -dpiheader dpi.h

dpi.hができるのですね。

xsc function.c

次のファイルを作成しました。

xsim.dir/xsc/dpi.so xsim.dir/xsc/function.lnx64.o

xelab TOP -sv_lib dpi -R

それで実行なんですね。

printfって使えるかな?

#include "dpi.h"

int cFunc(int x)
{
  return svFunc(x) ;
}

printfを追加したら出力されるかな?

#include "dpi.h"

int cFunc(int x)
{
  printf("[cFunc] %08x\n", x);
  return svFunc(x) ;
}

実行結果

run -all
[cFunc] 00000003
PASSED
exit

あぁ、出力された。

write: 2017/07/31/ 22:18:51